手把手教你学CPLD/FPGA与单片机联合设计图书
人气:9

手把手教你学CPLD/FPGA与单片机联合设计

作者从2009年1月起,在《电子世界》杂志上连载了《手把手教你学CPLD/FPGA设计》讲座。本书以此为蓝本,另外增加了大量的篇幅与实验例子进行充实。此外,为了帮助读者掌握单片机与CPLD/FPGA的联合设计,还介绍了51...

内容简介

作者从2009年1月起,在《电子世界》杂志上连载了《手把手教你学CPLD/FPGA设计》讲座。本书以此为蓝本,另外增加了大量的篇幅与实验例子进行充实。此外,为了帮助读者掌握单片机与CPLD/FPGA的联合设计,还介绍了51单片机的基本知识及单片机c语言编程的基础知识,并通过实例设计进行详解。本书以实践(实验)为主线,以生动短小的实例为灵魂,穿插介绍了Verilog HDL语言的语法及Altera公司的EPM7128S(或Atmel公司的ATFl508A5)设计开发编程。本书理论与实践紧密结合,由浅入深、循序渐进地引导读者进行学习、实验,这样读者学得进、记得牢,不会产生畏难情绪,无形之中就掌握了CPLD/FPGA的联合设计。

本书贯彻《手把手教你学系列丛书》的教学方式。书中附有光盘,含本书所有的程序设计文件。本书可用作大学本科或专科、中高等职业技术学校、电视大学等的教学用书,也可作为CPLD/FPGA爱好者的自学用书。

目录

第1章 可编程逻辑器件概述

1.1 可编程逻辑器件简介

1.1.1 可编程逻辑器件的基本结构

1.1.2 可编程逻辑器件的分类及特点

1.1.3 可编程逻辑器件的逻辑表示方法

1.2 CPLD/FPGA的结构与特性

1.2.1 基于乘积项的CPLD原理与结构

1.2.2 基于乘积项的CPLD逻辑实现方式

1.2.3 基于查找表的FPGA原理与结构

1.2.4 基于查找表的FPGA逻辑实现方式

1.2.5 CPLD与FPGA器件的差别

1.3 Altera公司的MAX7000系列(2PLD特性介绍

1.3.1 逻辑阵列块(LAB

1.3.2 宏单元

1.3.3 扩展乘积项

1.3.4 可编程连线阵列

1.3.5 I/O控制块

1.3.6 其他特性

第2章 可编程逻辑器件的设计流程及学习开发器材

2.1 可编程逻辑器件的设计流程

2.1.1 设计输入

2.1.2 综合

2.1.3 CPLD/FPGA器件适配

2.1.4 仿真

2.1.5 编程下载

2.2 CPLD/FPGA与单片机联合设计的学习器材介绍

2.2.1 Altera公司的集成开发软件MAX+plusII及QuartusII

2.2.2 KeiIC51Windows集成开发环境

2.2.3 MCU&CPLDDEMO综合试验板

2.2.4 ByteBlasterMV并口下载器

2.2.5 单片机USB程序下载器

2.2.6 9V高稳定专用稳压电源

第3章 开发软件的安装

3.1 KeilC51集成开发软件安装

3.2 MAX+plusⅡ集成开发软件安装

3.3 QuartusⅡ集成开发软件安装

3.4 USBasp下载器的安装与使用

3.4.1 USBasp下载器的安装

3.4.2 USBasp下载器的使用

3.5 Atmel并口下载软件atmelisp的安装

3.6 POF to JED转换软件Pof2jed的安装

第4章 及时个CPLD/FPGA入门实验程序

4.1 使用Max+plusⅡ集成开发软件进行入门实验

4.1.1 建立项目

4.1.2 设计输入(原理图或硬件描述语

4.1.3 选择器件并锁定引脚

4.1.4 编译器件

4.1.5 仿真

4.1.6 编程下载

4.1.7 应用

4.2 使用QuartusⅡ集成开发软件进行入门实验

4.2.1 建立项目

4.2.2 设计输入(原理图或硬件描述语

4.2.3 设计编译

4.2.4 仿真

4.2.5 引脚分配

4.2.6 编程下载

4.2.7 立用

第5章 VerilogHDL硬件描述语

5.1 VerilogHDL模块的基本结构

5.1.1 模块声明

5.1.2 端口定义

5.1.3 信号类型说明

5.1.4 逻辑功能描述

5.1.5 实验程序1——缓冲器

5.1.6 实验程序2——反相器(非门

5.2 VerilogHDL语法要素

5.2.1 标识符与关键字

5.2.2 常量、变量及数据类型

5.2.3 实验程序3——与门

5.2.4 实验程序4——与非门

5.2.5 实验程序5——LED的闪烁

5.2.6 运算符

5.2.7 运算符的优先级

5.2.8 实验程序6——或门

5.2.9 实验程序7——或非门

5.2.10 实验程序8——异或门

5.2.11 实验程序9——异或非门

5.2.12 实验程序10——三态门

5.3 VerilogHDL的行为语句

5.3.1 赋值语句

5.3.2 过程语句

5.3.3 块语句

5.3.4 条件语句

5.3.5 循环语句

5.3.6 编译预处理

5.3.7 任务和函数

5.4 VerilogHDL数字逻辑单元结构的设计

5.4.1 结构描述方式

5.4.2 实验程序——门级结构描述设计的基本门电路

5.4.3 数据流描述方式

5.4.4 行为描述方式

第6章 组合逻辑电路的设计实验

第7章 触发器的设计实验

第8章 时序逻辑电路的设计实验

第9章 CPLD/FPGA的设计应用

第10章 51单片机的基本知识

第11章 单片机C语言基础知识

第12章 CPLD/FPGA与单片机的接口及数据传输

第13章 CPLD/FPGA与单片机的联合设计实例——液晶显示频率计

参考文献

在线预览

第1章 可编程逻辑器件概述

多年来,人们设计数字电路系统都是使用标准的数字集成电路芯片,如74/54系列(TTL)、4000/4500系列(CMOS)等,根据设计的功能从这些标准的芯片中进行选择,然后搭建成一个完整的数字电路应用系统。使用这种方法设计出来的系统,不仅芯片数量多、印板面积大,而且性差,毫无设计的灵活性可言。

可编程逻辑器件PLD(Programmable Logic Device)出现后,改变了人们的传统设计方法,可以直接使用PLD芯片进行数字电路系统的设计。例如,可以直接设计芯片内部的数字逻辑并定义输入/输出引脚等,从原来的印板级设计上升到芯片级设计。由于PLD设计时引脚定义非常灵活,不仅降低了电路原理和印板设计的难度,提高了设计效率,而且大大减少了芯片的数量和种类,缩小了印板面积,降低了功耗,并极大地提高了系统工作的性。

……

网友评论(不代表本站观点)

来自无昵称**的评论:

整体表示喜欢!

2015-06-01 13:42:44
来自无昵称**的评论:

很好,不错

2015-07-08 21:03:12
来自无昵称**的评论:

商品不错,值得一读

2015-09-20 19:49:55
来自无昵称**的评论:

不错哦

2015-10-29 14:42:28
来自企鹅cq**的评论:

质量嗷嗷的好

2015-12-22 23:22:52
来自hfbhuan**的评论:

很好。简单易懂易学。

2016-04-07 11:37:50
来自无昵称**的评论:

好书

2016-06-14 21:07:28
来自无昵称**的评论:

学习学习

2016-11-23 18:26:03
来自无昵称**的评论:

书很好,很实用!

2017-11-01 22:44:03
来自无昵称**的评论:

包装完好,速度快,很满意!

2017-11-02 22:00:58
来自亲亲七**的评论:

我第一个下单了,看起来书上的内容很不错,很有吸引力,相信这本书很不错的。我和店主一起加油

2011-01-20 16:23:37
来自无昵称**的评论:

没有按照网站上写的时间送,晚了一天,而且光盘还是坏的

2015-07-26 19:35:58
来自jinzhen**的评论:

没有想象的好!很多基础知识都忽略的!把其他的内容加了进来没有着重讲cpld和mcu硬件连接

2011-03-15 09:15:19
来自kakazzx**的评论:

太简单了 太浅了 可能便于学吧 程序例子太简单 除了后面的几个例子

2011-11-20 01:15:32
来自ynliaog**的评论:

实现功能描述不充分,仅仅有代码,下载实现部分过多重复,啰嗦了,作为入门书籍不错,能在基础部分在清晰就更好了

2012-12-08 10:45:50
来自无昵称**的评论:

因为之前了解了才买的,所以对书的内容没什么好说的。印刷方面质量还可以、字还清晰。光盘可读;包装简陋但书没碰伤。

2013-12-04 11:23:23
来自无昵称**的评论:

发货速度挺快的 书内容很满意 比较适合初学者很有的哦

2012-06-12 11:13:33
来自justfor**的评论:

比较适合入门级自学教材,循序渐进,讲述内容也很详尽,还是不错的!

2011-03-21 19:41:20
来自JetLi94**的评论:

我买的手把手教你学DSP,怎么让我评这本书?

2011-09-16 14:51:04
来自6488904**的评论:

书还没细看,看着偏工程应用一些,书还带光盘,应该还不错。

2016-01-26 00:43:05
来自拉风小**的评论:

读了一半了,很适合入门CPLD FPGA这样的逻辑控制器

2012-10-21 08:08:06
来自00hehe**的评论:

完全是标题党啊,说是讲CPLD/FPGA与单片机联合设计,其实只用了两个简单例子来讲,例子非常之简单,在最后一章。里面竟然用大篇幅讲如何安装软件,单片机C语言,晕死啊。。。大家千万不要买这本书。

2014-04-01 10:22:26
来自无昵称**的评论:

这本书对于对verilog编程不熟悉的人来说,很好,从入门开始讲,一个一个例子,结合数字逻辑器件编写代码。如果基础较好的,可以买深层次的书看看。

2014-07-17 15:44:32
来自无昵称**的评论:

还行,初学来说挺不错了,CPLD和单片机内容各占一半吧。有原理图对设计也有参考价值。

2015-11-15 20:40:06
来自a***2(**的评论:

手把手教你学XX的系列对于初学者入门很好,以前学单片机也是从这入门,值得推荐

2017-06-07 20:35:40
来自初***m(**的评论:

这本书对于对verilog编程不熟悉的人来说,很好,从入门开始讲,一个一个例子,结合数字逻辑器件编写代码。如果基础较好的,可以买深层次的书看看。

2017-04-23 21:57:03
来自aa8464**的评论:

手把手教你10学CPLD/FPGA与单片机联合设计(内附P光盘1张)件并锁定引脚  编译器

2015-12-19 14:28:05
登录后即可发表评论

免责声明

更多相关图书
在线咨询