Verilog数字系统设计教程(第3版)图书
人气:60

Verilog数字系统设计教程(第3版)

《普通高等教育"十一五"*规划教材·北京高等教育精品教材:Verilog数字系统设计教程(第3版)》讲述利用硬件描述语言(Verilog HDL)设计复杂数字系统的方法。这种方法源自20世纪90年代的美国,取得成效后迅速在其他先...

内容简介

《普通高等教育"十一五"规划教材·北京高等教育精品教材:Verilog数字系统设计教程(第3版)》讲述利用硬件描述语言(Verilog HDL)设计复杂数字系统的方法。这种方法源自20世纪90年代的美国,取得成效后迅速在其他先进工业国得到推广和普及。利用硬件描述语言建模、通过仿真和综合技术设计出极其复杂的数字系统是这种技术的优势。

《普通高等教育"十一五"规划教材·北京高等教育精品教材:Verilog数字系统设计教程(第3版)》从算法和计算的基本概念出发,讲述如何用硬线逻辑电路实现复杂数字逻辑系统的方法。全书共分三部分。及时部分内容共18章;第二部分共12个上机练习实验范例;第三部分是Verilog硬件描述语言参考手册,可供读者学习、查询之用。本书第2版后,在语法篇中增加了IEEE Verilog1364-2001标准简介,以反映Verilog语法的变化。

《普通高等教育"十一五"规划教材·北京高等教育精品教材:Verilog数字系统设计教程(第3版)》的讲授方式以每2学时讲授一章为宜,每次课后需要花loh复习思考。完成10章学习后,就可以开始做上机练习,由简单到复杂,由典型到一般,循序渐进地学习Verilog HDL基础知识。按照书上的本书可作为电子工程类、自动控制类、计算机类的大学本科高年级及研究生教学用书,亦可供其他工程人员自学与参考。

目录

绪论

及时部分 Verilog数字设计基础

第1章 Verilog的基本知识

1.1 硬件描述语言HDL

1.2 Verilog HDL的历史

1.2.1 什么是Verilog HDL

1.2.2 Verilog HDL的产生及发展

1.3 Verilog HDL和VHDL的比较

1.4 Verilog的应用情况和适用的设计

1.5 采用Verilog HDL设计复杂数字电路的优点

1.5.1 传统设计方法——电路原理图输入法

1.5.2 Verilog HDL设计法与传统的电路原理图输入法的比较

1.5.3 Verilog的标准化与软核的重用

1.5.4 软核、固核和硬核的概念及其重用

1.6 采用硬件描述语言(Verilog HDL)的设计流程简介

1.6.1 自顶向下(Top Down)设计的基本概念

1.6.2 层次管理的基本概念

1.6.3 具体模块的设计编译和仿真的过程

1.6.4 具体工艺器件的优化、映像和布局布线

小结

思考题

第2章 Verilog语法的基本概念概述

2.1 Verilog模块的基本概念

2.2 Verilog用于模块的测试

小结

思考题

第3章 模块的结构、数据类型、变量和基本运算符号概述

3.1 模块的结构

3.1.1 模块的端口定义

3.1.2 模块内容

3.1.3 理解要点

3.1.4 要点总结

3.2 数据类型及其常量和变量

3.2.1 常量

3.2.2 变量

3.3 运算符及表达式

3.3.1 基本的算术运算符

3.3.2 位运算符

小结

思考题

第4章 运算符、赋值语句和结构说明语句概述

4.1 逻辑运算符

4.2 关系运算符

4.3 等式运算符

4.4 移位运算符

4.5 位拼接运算符

4.6 缩减运算符

4.7 优先级别

4.8 关键词

4.9 赋值语句和块语句

4.9.1 赋值语句

4.9.2 块语句

小结

思考题

第5章 条件语句、循环语句、块语句与生成语句

概述

5.1 条件语句(if_else语句)

5.2 case语句

5.3 条件语句的语法

5.4 多路分支语句

……

第二部分 设计和验证部分

第三部分 设计示范与实验练习

第四部分 语法篇

在线预览

第1章 Verilog的基本知识

1.1 硬件描述语言HDL

硬件描述语言(HDL,hardware description language)是一种用形式化方法来描述数字电路和系统的语言。数字电路系统的设计者利用这种语言可以从上层到下层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后利用电子设计自动化(以下简称为EDA)工具逐层进行仿真验证,再把其中需要变为具体物理电路的模块组合经由自动综合工具转换到门级电路网表。接下去再用专用集成电路(ASIC)或现场可编程门阵列(FPGA)自动布局布线工具把网表转换为具体电路布线结构的实现。在制成物理器件之前,还可以用Verilog的门级模型(原语元件或UDP)来代替具体基本元件。因其逻辑功能和延时特性与真实的物理元件一致,所以在仿真工具的支持下能验证复杂数字系统物理结构的正确性,使投片的成功率达到100%。目前,这种称为高层次设计(high—level—design)的方法已被广泛采用。据统计,目前在美国硅谷约有90%以上的ASIC和FPGA已采用Verilog硬件描述语言方法进行设计。

……

免责声明

更多相关图书
在线咨询