欢迎来到杂志之家!发表咨询:400-888-7501 订阅咨询:400-888-7502 股权代码 102064

集成电路布图设计实用13篇

集成电路布图设计
集成电路布图设计篇1

集成电路作为一种工业产品,应当受到专利法的保护。但是,人们在实践中发现,由于集成电路本身的特性,大部分集成电路产品不能达到专利法所要求的创造性高度,所以得不到专利法的保护。于是,在一九七九年,美国众议院议员爱德华(Edward)首次提出了以著作权法来保护集成电路的议案。但由于依照著们法将禁止以任何方式复制他人作品,这样实施 反向工程也将成为非法,因此,这一议案在当时被议会否决。尽管如此,它对后来集成电路保护的立法仍然有着重要意义,因为它提出了以保护布图设计的方式来保护集成电路的思想;在这基础上,美国于1984年颁布了《半导体芯。片保护法》;世界知识产权组织曾多次召集专家会议和政府间外交会议研究集成电路保护问题,逐渐形成了以保护布图设计方式实现对集成电路保护的一致观点,终于在一九八九年缔结了《关于保护集成电路知识产权条约》。在此期间,其他一些国家颁布的集成电路保护法都采用了这一方式。

虽然世界各国的立法均通过保护布图设计来保护集成电路,但关于布图设计的名称却各不相同。美国在它的《半导体芯片保护法,)中称之为“掩模作品”(maskwork);在日本的《半导体集成电路布局法》中称之为“线路布局”(cir— cuitlayout);而欧共体及其成员国在其立法中称布图设计为“形貌结构”(topography);世界知识产权组织在《关于集成电路知识产权条约》中将其定名为布图设计。笔者以为,在这所有的名称中以“布图设计”一词为最佳。“掩模作品”一词取意于集成电路生产中的掩模。“掩模作品”一词已有过时落后之嫌,而“线路布局”一词又难免与电子线路中印刷线路版的布线、设计混淆。“形貌结构”一词原意为地貌、地形,并非电子学术语。相比之下,还是世界知识产权组织采用的“布图设计”一词较为妥当。它不仅避免了其他名词的缺陷,同时这一名词本身已在产业界及有关学术界广泛使用。《中国大百科全书》中亦有“布图设计”的专门词条‘

二、布图设计的特征

布图设计有着与其他客体相同的共性,同时也存在着自己所特有的个性。下面将分别加以论述。

1.集成电路布图设计具有无形性

无形性是各种知识产权客体的基本特性,,因此也是布图设计作为知识产权客体的必要条件。布图设计是集成电路中所有元器件的配置方式,这种“配置方式”本身是抽象的、无形的,它没有具体的形体,是以一种信息状态存在于世的,不象其他有形物体占据一定空间。

布图设计本身是无形的,但是当它附着在一定的载体上时,就可以为人所感知。前面提到布图设计在集成电路芯片中表现为一定的图形,这种图形是可见的。同样,在掩模版上布图设计也是以图形方式存在的。计算机辅助设计技术的发展,使得布图设计可以数据代码的方式存储在磁盘或磁带中。在计算机控制的离子注入机或者电子束曝光装置中,布图设计也是以一系列的代码方式存在。人们可通过一定方式感知这些代码信息。布图设计是无形的,但是其载体,如掩模版、磁带或磁盘等等却可以是有形的。

2.布图设计具有可复制性

通常,我们说著作权客体具有可复制性,布图设计同样也具有著作权客体的这一特征。当载体为掩模版时,布图设计以图形方式存在。这时,只需对全套掩模版加以翻拍,即可复制出全部的布图设计。当布图设计以磁盘或磁带为载体时,同样可以用通常的磁带或磁盘拷贝方法复制布图设计。当布图设计被“固化”到已制成的集成电路产品之中时,复制过程相对复杂一些。复制者首先需要去除集成电路的外封装;再去掉芯片表面的钝化层;然后采用不同的腐蚀液逐层剥蚀芯片,并随时拍下各层图形的照片,经过一定处理后便可获得这种集成电路的全部布图设计。这种从集成电路成品着手,利用特殊技术手段了解集成电路功能、设计特点,获得其布图设计的方法被称为“反向工程”。

集成电路布图设计篇2

当今世界,随着科学技术的迅速发展,电子科技迎来了蓬勃的发展机遇,在短短的几十年时间内,电子行业发展到了一个前所未有的高度。尤其是计算机行业,更是电子行业中的领导者。但是,在这些电子行业中,最离不开的,便是集成电路系统,即集成电路系统行业的发展影响着电子行业的发展。由于集成电路产业的迅速发展,在其知识产权保护方面存在的问题也逐渐的暴露了出来。本文便着重于集成电路布局设计的知识及知识产权保护方面进行研究,从而为我国的集成电路事业的健康发展指出一条清晰明确的道路,顺应时展的潮流。

1 集成电路布图设计概述

1.1 集成电路布图设计的概念

集成电路系统的基础是半导体,即由半导体材料作为集成电路的基本元件,经由多个元件进行合并连接,共同置于由半导体组成的基片上,最终组装好的集成电路在电子器械或电子系统中控制电流,进而发挥其电子功能的部件。在计算机技术并不发达的初级阶段,由于材料学以及电子工程学的发展比较落后,使得计算机内部的电子元件是经由导线进行彼此之间的连接,这种搭设方式不但增加了电流流动的时间,减缓了信息传输的速度,还极大的增加了计算机内部的集成电路所占用的空间,使得计算机的体积极大,且信息处理缓慢,功能缺乏。但随着时代的发展,材料科学的不断进步,人们找到了良好的电子材料进行集成电路的搭建,因此,在集成电路的布局设计上能否取得进步便成为了计算机事业能否发展的关键所在。所谓的计算机部件设计,是经由软件或者图纸进行电路布局的3D模型规划,其就与土木工程中的建筑设计图纸相似,能够为产品的制造进行技术支持与步骤提供。可以说,集成电路布局设计在集成电路发展事业中所占的位置是最重要的,且在资金的投入上也是最高的。通常需要巨大的资金投入与人才投入才能设计出合理的集成电路布局。

1.2 集成电路布图设计的基本特征

集成电路布局设计的基本特征可以大体分为三个方面,依次为无形性,复制性以及表现形式的非任意性。在无形性上,由于计算机中的集成电路布局是由专业技术人员进行的智慧创造,仅仅能记录在图纸上以及电子储存设备中。可以说,这种思维创作的智慧结晶仅能通过有限的载体进行反映,进而被人了解知晓。这些都是集成电路布局设计的无形性的体现。在复制性上的体现更为明显,当集成电路的布局设计储存在电子储存设备当中时,通过计算机中的软件便可进行信息的复制,从而使得集成电路的布局设计被复制为多份。当不具备集成电路的布局规划信息与图纸时,想要了解某一电子设备中的集成电路布局状况,可以对该电子设备进行拆分处理,将内部的集成电路暴露出来,通过照相仪器或扫描仪器进行内部布局信息采集,便可以采集到集成电路的布局信息。这种信息的采集可以极大的降低集成电路设计者的工作难度与工作量。在表现形式的非任意性上,集成电路在原材料的使用,元件的基本参数,工艺技术要求等等方面都有极其严格的要求。在技术规范与原则上也有一定的套路,因此说,在集成电路的表现形式上,其具有非任意性。

1.3 以电磁炉为例的集成电路

此处以电磁炉的集成电路为例进行简单分析。SM16312集成电路主要控制电磁炉中的显示屏部分。通过中央处理器的控制将电信号转化为数据信号,进行编码转化显示在显示屏当中。且当电磁炉的集成电路出现问题进行更换时,需要注意的问题更多,首先便要保证维修环境的整洁,防止环境中污染物的影响使得电磁炉的显示屏部位出现问题。由集成电路控制的显示屏灯管比较脆弱,电路维修时操作手段的不当会使得灯管破碎或传输导线的断裂。进行导线焊接时,时间不可过长,否则容易导致电路控制的显示屏部位完全损坏。

2 集成电路布图设计的知识保护

2.1 对集成电路布图设计进行保护的意义

之所以对于集成电路布局设计进行保护,是因为布图设计是脑力劳动者脑力创作的成果与智慧的结晶。集成电路布图属于电子产业中专业要求较高的行业,如果不具备高端的专业知识与专业素养就无法进行集成电路的布图设计。在设计者进行布图设计的过程中,设计人员要对电路中的各个元件有详细而充分的了解,在进行布图设计时,既要考虑到固有的一些设计规定与功能布局,还要充分发挥设计者的创造力,只有将这两点进行有机的结合,才能够创造出优秀的集成电路布图。由于电路布图的这种设计是一种无形的资产,只能通过有形的载体进行信息承载才能够被人们了解。所以要对这种无形的设计进行产权保护,才能够在最大程度上保证布图设计者的权益不受到侵害。在创造性与实用性上,由于集成电路的布图需要脑力的劳动,一旦创造出独特的且信息处理迅速的电路布图设计则会产生巨大的经济效益,且有可能会对电子行业的进步与革新产生较大的影响,因此需要进行知识产权保护。

2.2 集成电路布图设计保护模式选择

对集成电路布图设计进行保护,就需要依靠法律的力量。国家制定了相应的《关于保护集成电路知识产权条约》。其中对于集成电路的保护就有明确的规定,既要求布局设计自身是由设计者自身进行独立的思维创造或与其他人共同合作进行创造进而得到的成果。对于那些根据别人的集成电路布局设计进行模仿或复制的布局设计,不但不对其进行法律保护,还要追究其法律责任。由于集成电路布图设计涉及到原创性,创造性与新颖性这三个方面,因此,知识产权在对其进行保护时,既要保护到成果作品自身,还要对其中蕴含的创新点与思维创造部分进行保护,这有这样,才能对与集成电路布图设计进行充分的保护,进而保护设计者的智力成果与财产安全。

2.3 集成电路布图设计专有权设计

对于集成电路布图设计的专有权进行保护,需要对主体,客体以及内容这三方面进行保护。在主体保护方面,涉及到布图设计的设计者,这既包括设计者自身与在思维创造过程中一同参与的合作者,还包括布图设计的相关法人与组织,另外,相关的可以享受该成果的权利委托人也是保护主体之一。而保护的客体,指的则是设计者创造出的具备思维创造性的布图设计。对于集成电路布图设计的内容保护既是对于设计专有权的具体权能进行保护。具体包括有复制权,商业利用权。

3 结语

当今世界,随着科学技术的迅速发展,电子科技迎来了蓬勃的发展机遇,在短短的几十年时间内,电子行业发展到了一个前所未有的高度。集成电路是以半导体材料为基础的,由多个元件进行线路连接,设置在基片之上,以达到一定功能的电子产品。本文通过对集成电路布图设计进行概述,并对集成电路布图设计的知识保护进行分析,从而促进我国的集成电路事业的发展,使我国的电子产业赶上时代潮流。

参考文献

集成电路布图设计篇3

(一)集成电路,是指半导体集成电路,即以半导体材料为基片,将至少有一个是有源元件的两个以上元件和部分或者全部互连线路集成在基片之中或者基片之上,以执行某种电子功能的中间产品或者最终产品;

(二)集成电路布图设计(以下简称布图设计),是指集成电路中至少有一个是有源元件的两个以上元件和部分或者全部互连线路的三维配置,或者为制造集成电路而准备的上述三维配置;

(三)布图设计权利人,是指依照本条例的规定,对布图设计享有专有权的自然人、法人或者其他组织;

(四)复制,是指重复制作布图设计或者含有该布图设计的集成电路的行为;

(五)商业利用,是指为商业目的进口、销售或者以其他方式提供受保护的布图设计、含有该布图设计的集成电路或者含有该集成电路的物品的行为。

第三条中国自然人、法人或者其他组织创作的布图设计,依照本条例享有布图设计专有权。

外国人创作的布图设计首先在中国境内投入商业利用的,依照本条例享有布图设计专有权。

外国人创作的布图设计,其创作者所属国同中国签订有关布图设计保护协议或者与中国共同参加有关布图设计保护国际条约的,依照本条例享有布图设计专有权。

第四条受保护的布图设计应当具有独创性,即该布图设计是创作者自己的智力劳动成果,并且在其创作时该布图设计在布图设计创作者和集成电路制造者中不是公认的常规设计。

受保护的由常规设计组成的布图设计,其组合作为整体应当符合前款规定的条件。

第五条本条例对布图设计的保护,不延及思想、处理过程、操作方法或者数学概念等。

第六条国务院知识产权行政部门依照本条例的规定,负责布图设计专有权的有关管理工作。

第二章布图设计专有权

第七条布图设计权利人享有下列专有权:

(一)对受保护的布图设计的全部或者其中任何具有独创性的部分进行复制;

(二)将受保护的布图设计、含有该布图设计的集成电路或者含有该集成电路的物品投入商业利用。

第八条布图设计专有权经国务院知识产权行政部门登记产生。

未经登记的布图设计不受本条例保护。

第九条布图设计专有权属于布图设计创作者,本条例另有规定的除外。

由法人或者其他组织主持,依据法人或者其他组织的意志而创作,并由法人或者其他组织承担责任的布图设计,该法人或者其他组织是创作者。

由自然人创作的布图设计,该自然人是创作者。

第十条两个以上自然人、法人或者其他组织合作创作的布图设计,其专有权的归属由合作者约定;未作约定或者约定不明的,其专有权由合作者共同享有。

第十一条受委托创作的布图设计,其专有权的归属由委托人和受托人双方约定;未作约定或者约定不明的,其专有权由受托人享有。

第十二条布图设计专有权的保护期为10年,自布图设计登记申请之日或者在世界任何地方首次投入商业利用之日起计算,以较前日期为准。但是,无论是否登记或者投入商业利用,布图设计自创作完成之日起15年后,不再受本条例保护。

第十三条布图设计专有权属于自然人的,该自然人死亡后,其专有权在本条例规定的保护期内依照继承法的规定转移。

布图设计专有权属于法人或者其他组织的,法人或者其他组织变更、终止后,其专有权在本条例规定的保护期内由承继其权利、义务的法人或者其他组织享有;没有承继其权利、义务的法人或者其他组织的,该布图设计进入公有领域。

第三章布图设计的登记

第十四条国务院知识产权行政部门负责布图设计登记工作,受理布图设计登记申请。

第十五条申请登记的布图设计涉及国家安全或者重大利益,需要保密的,按照国家有关规定办理。

第十六条申请布图设计登记,应当提交:

(一)布图设计登记申请表;

(二)布图设计的复制件或者图样;

(三)布图设计已投入商业利用的,提交含有该布图设计的集成电路样品;

(四)国务院知识产权行政部门规定的其他材料。

第十七条布图设计自其在世界任何地方首次商业利用之日起2年内,未向国务院知识产权行政部门提出登记申请的,国务院知识产权行政部门不再予以登记。

第十八条布图设计登记申请经初步审查,未发现驳回理由的,由国务院知识产权行政部门予以登记,发给登记证明文件,并予以公告。

第十九条布图设计登记申请人对国务院知识产权行政部门驳回其登记申请的决定不服的,可以自收到通知之日起3个月内,向国务院知识产权行政部门请求复审。国务院知识产权行政部门复审后,作出决定,并通知布图设计登记申请人。布图设计登记申请人对国务院知识产权行政部门的复审决定仍不服的,可以自收到通知之日起3个月内向人民法院。

第二十条布图设计获准登记后,国务院知识产权行政部门发现该登记不符合本条例规定的,应当予以撤销,通知布图设计权利人,并予以公告。布图设计权利人对国务院知识产权行政部门撤销布图设计登记的决定不服的,可以自收到通知之日起3个月内向人民法院。

第二十一条在布图设计登记公告前,国务院知识产权行政部门的工作人员对其内容负有保密义务。

第四章布图设计专有权的行使

第二十二条布图设计权利人可以将其专有权转让或者许可他人使用其布图设计。

转让布图设计专有权的,当事人应当订立书面合同,并向国务院知识产权行政部门登记,由国务院知识产权行政部门予以公告。布图设计专有权的转让自登记之日起生效。许可他人使用其布图设计的,当事人应当订立书面合同。

第二十三条下列行为可以不经布图设计权利人许可,不向其支付报酬:

(一)为个人目的或者单纯为评价、分析、研究、教学等目的而复制受保护的布图设计的;

(二)在依据前项评价、分析受保护的布图设计的基础上,创作出具有独创性的布图设计的;

(三)对自己独立创作的与他人相同的布图设计进行复制或者将其投入商业利用的。

第二十四条受保护的布图设计、含有该布图设计的集成电路或者含有该集成电路的物品,由布图设计权利人或者经其许可投放市场后,他人再次商业利用的,可以不经布图设计权利人许可,并不向其支付报酬。

第二十五条在国家出现紧急状态或者非常情况时,或者为了公共利益的目的,或者经人民法院、不正当竞争行为监督检查部门依法认定布图设计权利人有不正当竞争行为而需要给予补救时,国务院知识产权行政部门可以给予使用其布图设计的非自愿许可。

第二十六条国务院知识产权行政部门作出给予使用布图设计非自愿许可的决定,应当及时通知布图设计权利人给予使用布图设计非自愿许可的决定,应当根据非自愿许可的理由,规定使用的范围和时间,其范围应当限于为公共目的非商业性使用,或者限于经人民法院、不正当竞争行为监督检查部门依法认定布图设计权利人有不正当竞争行为而需要给予的补救。

非自愿许可的理由消除并不再发生时,国务院知识产权行政部门应当根据布图设计权利人的请求,经审查后作出终止使用布图设计非自愿许可的决定。

第二十七条取得使用布图设计非自愿许可的自然人、法人或者其他组织不享有独占的使用权,并且无权允许他人使用。

第二十八条取得使用布图设计非自愿许可的自然人、法人或者其他组织应当向布图设计权利人支付合理的报酬其数额由双方协商;双方不能达成协议的,由国务院知识产权行政部门裁决。

第二十九条布图设计权利人对国务院知识产权行政部门关于使用布图设计非自愿许可的决定不服的,布图设计权利人和取得非自愿许可的自然人、法人或者其他组织对国务院知识产权行政部门关于使用布图设计非自愿许可的报酬的裁决不服的,可以自收到通知之日起3个月内向人民法院。

第五章法律责任

第三十条除本条例另有规定的外,未经布图设计权利人许可,有下列行为之一的,行为人必须立即停止侵权行为,并承担赔偿责任:

(一)复制受保护的布图设计的全部或者其中任何具有独创性的部分的;

(二)为商业目的进口、销售或者以其他方式提供受保护的布图设计、含有该布图设计的集成电路或者含有该集成电路的物品的。

侵犯布图设计专有权的赔偿数额,为侵权人所获得的利益或者被侵权人所受到的损失,包括被侵权人为制止侵权行为所支付的合理开支。

第三十一条未经布图设计权利人许可,使用其布图设计,即侵犯其布图设计专有权,引起纠纷的,由当事人协商解决;不愿协商或者协商不成的,布图设计权利人或者利害关系人可以向人民法院,也可以请求国务院知识产权行政部门处理。国务院知识产权行政部门处理时,认定侵权行为成立的,可以责令侵权人立即停止侵权行为,没收、销毁侵权产品或者物品。当事人不服的,可以自收到处理通知之日起15日内依照《中华人民共和国行政诉讼法》向人民法院;侵权人期满不又不停止侵权行为的,国务院知识产权行政部门可以请求人民法院强制执行。应当事人的请求,国务院知识产权行政部门可以就侵犯布图设计专有权的赔偿数额进行调解;调解不成的,当事人可以依照《中华人民共和国民事诉讼法》向人民法院。

第三十二条布图设计权利人或者利害关系人有证据证明他人正在实施或者即将实施侵犯其专有权的行为,如不及时制止将会使其合法权益受到难以弥补的损害的,可以在前依法向人民法院申请采取责令停止有关行为和财产保全的措施。

集成电路布图设计篇4

2000 年6 月27 日,国务院颁布的《鼓励软件产业和集成电路产业发展的若干政策》提出:“集成电路设计产品视同软件产品,受知识产权方面的法律保护。”①但我国现在还没有类似《计算机软件保护条例》那样的单行法规用来保护集成电路设计产品。而利用现有的知识产权法律,是将集成电路设计产品作为作品用著作权法来保护,还是将之作为发明用专利法来保护? 这个问题我国尚无明确规定,还需要根据集成电路设计产品的性质和特点,来确定其应适用的法律。

本文对集成电路设计产品的性质和特点进行分析,不仅符合我国当前大力发展集成电路工业及即将加入世界贸易组织的形势需要,也希望能为我国制订集成电路保护法提供一些立法参考。

一、集成电路布图设计的知识产权性质和特点

集成电路设计产品,指的是集成电路生产过程中的布图设计这一中间产品。布图设计是制造集成电路产品中非常重要的一个环节,它的开发费用一般要占集成电路产品总投资的一半以上。不法厂商抄袭他人的布图设计,就能仿造出相同的集成电路产品,而其成本却比原开发者的少得多。这种抄袭行为严重损害了产品开发者的利益,而传统的物权法却对之束手无策。这是因为布图设计具有无形财产的性质特点,必须利用知识产权法予以保护。发达国家的立法部门出于对集成电路工业的关注,于20 世纪70 年代末开始研究对布图设计给予专有权的法律问题。20 世纪80 年代,美国、日本等集成电路工业发达的国家陆续颁布法律,保护布图设计权,将集成电路布图设计保护法作为知识产权法中的一个新的部门。20世纪90 年代中期,我国已开始起草集成电路布图设计保护法,但由于种种原因,至今尚未颁布。从目前的形势看,我国需要在知识产权法律体系中增加这部法规。布图设计是独立的知识产权客体,其性质和特点表现为以下方面:

(一) 布图设计是智力劳动的成果

集成电路( Integrated Circuits) 英文简称IC ,也有人习惯将之称为芯片。通俗地说,集成电路就是一种电子电路产品,它的各种元件集成在一个固体材料中并作为一个整体单位来执行某种电子功能。这种电路高度集成地组合和联结若干电子元件,缩小电路的尺寸,加速电路的工作速度,降低电路成本和功耗。

集成电路布图设计,简称布图设计(Layout Design) ,是指集成电路中多个元件,其中至少有一个是有源元件和其部分或全部集成电路互连的三维配置,或者是为集成电路的制造而准备的这样的三维配置。②通俗地说,布图设计就是确定用以制造集成电路的电子元件在一个传导材料中的几何图形排列和连接的布局设计。

布图设计是制造集成电路产品中非常重要的一个环节,设计工程师们根据集成电路所要执行的功能设计集成电路的结构。布图设计是艺术创造力与精密的电子工程技术融合的产物。在设计中,设计人员借助计算机模拟,把数以千万计的线路组成部分一而再、再而三地调整位置,安排这些线路的组合,使一个芯片中能包含更多的元件,具有更强大的功能,以求生产效率的最大化和芯片体积的最小化。在早期的集成电路生产中,布图设计被绘制在掩膜上。掩膜(Mask) 如同一张摄影底片,是将要置放到芯片中的线路的底片。布图设计固定在掩膜上,该掩膜就成为制造芯片的模版,是制造集成电路的中间产品。这种掩膜也曾是工业间谍千方百计想要窃取的目标。③随着科技的发展,目前的集成电路布图设计更多的是以编码方式储存于磁盘、磁带等介质生产集成电路已经有些过时了。

从上述布图设计的创作过程可以看出,布图设计是设计工程师们根据集成电路所要执行的功能而设计的集成电路的结构,它无疑是智力劳动的产物。

(二) 布图设计是无形的

布图设计是确定用以制造集成电路的电子元件在一个传导材料中的排列和连接的布局设计。布图设计可以固定在磁盘或掩膜上,也可以固定在集成电路产品中,但这些磁盘或集成电路只是它的物质载体,布图设计本身是无形的。这就如同作品可以固定在书本或磁盘上,而作品本身是无形的。布图设计的无形性特点,是它成为知识产权客体的主要原因。

布图设计虽然是无形的,但它也同其他无形财产一样,具有客观表现形式和可复制性。布图设计若要得到法律的保护,也必须具有一定的表现形式,必须固定于某种物质载体上,为人们感知,并可以复制。在集成电路产品的生产中,布图设计被固定于磁盘或掩膜中,并被大量复制于集成电路产品内。

(三) 布图设计具有创造性和实用性

布图设计只有具有创造性,才受法律保护。已颁布布图设计保护法的国家,一般均在其法律中兼采著作权法的创作性(原创性) 和专利法的创造性和新颖性的要求,又依据布图设计自身的特点而加以变化,确定布图设计的创造性要求。⑤受法律保护的布图设计,要求必须是设计人自己创作的,有自己的独特之处。此点借鉴著作权法的创作性要求。

同时,布图设计的创造性还要求,受法律保护的布图设计,与以往的布图设计相比,要有一定的进步性和新颖性。布图设计要应用于工业实践,若无进步性和新颖性,也就没有予以知识产权保护的必要。不过,布图设计的创造性和新颖性,不必达到专利法要求的标准,只要比以往的布图设计有一定的进步性和不同,就可以得到法律保护。这是因为,集成电路产品的更新换代表现为集成度的不断提高,在同样体积的芯片上布局更多的元件以增强功能、降低能耗。新的集成电路产品,不过是比原来的产品集成度高,不可能是前所未有的,也不大可能达到突出的实质性特点和显著的进步。所以,已颁布集成电路保护法的国家,均不直接采纳专利法中的创造性和新颖性的标准,而是降低要求,以适应实际情况。

集成电路是应用广泛的工业产品,布图设计是其生产过程的一个重要环节,是中间产品,布图设计的实用性是非常明显的。

(四) 布图设计是独立的知识产权客体

布图设计是独立的知识产权客体,有着自己的特点。因而,已颁布集成电路保护法的国家,基本上不引用著作权法或专利法来保护它,而是依据其特点,制订单行法规,将之作为独立的客体予以保护。

美国是当今世界上半导体工业最发达的国家,也是最先对集成电路布图设计予以立法保护的国家。1984 年美国颁布了《半导体芯片产品保护法》(“Protection of Semiconductor Chip Products Act”) ,并于1984 年11 月8 日起实施,确认了布图设计专有权。这部法律虽然作为《美国法典》第17 编(版权法) 的最后一章,即第9 章,但它实际上是一个独立的体系,既不属于版权法体系,也不属于专利法体系。布图设计权不是版权,而是作为与版权近似的一项独立的权利(copyright - like) ,受特殊保护(suigeneris potection) .⑥在美国1984 年《半导体芯片产品保护法》的影响下,日本于1985 年5 月31 日颁布了《半导体集成电路的线路布局法》。日本的这部法律在立法体例和内容上均与美国法相似,既不隶属于版权法,也不隶属于专利法,而是自成体例,以单行法规的形式出现。

二、布图设计与其他相关知识产权客体的比较

在众多的知识产权客体中,布图设计与发明、作品较为接近。但它也有与发明等不同的特性。从布图设计与其他相关知识产权客体的比较中,可以进一步分析布图设计的特点。

(一) 布图设计不同于发明

布图设计是科技领域中的一种智力劳动的成果,又直接应用于工业生产,在知识产权诸多客体中,它与发明最接近。但与发明不同的是,布图设计只是中间产品,是制造集成电路产品中非常重要的一个环节,不具有独立的功能。因而,布图设计不能单独取得专利。

含有布图设计的集成电路产品,组装成能完成一定任务、具有特定功能的零件或设备产品,若具备专利法规定的发明的条件,可以作为发明获得专利。

在实践中之所以不将集成电路产品作为发明,用专利法来保护,原因在于:对集成电路产品而言,取得专利的条件过于严格,只有极少数的集成电路产品能获得专利,而绝大部分集成电路产品缺乏作为专利保护的发明所必需的创造性和新颖性。

集成电路产品的发展,基本表现在不断地提高集成度、节约材料、降低能耗上。现在的集成电路产品,由于工艺水平的提高,集成度越来越高,其体积和外形越来越小。虽然对于设计者来说,将几十万甚至上亿个元件布置在一小片半导体硅晶片上,要花费不少心血,但这种布图设计的创造性水平却不一定能达到专利法所要求的高度,集成度高未必就一定具备专利法上的创造性。在实践中,一些非常先进和尖端的集成电路产品也未能获得专利。

另外,在布图设计中,设计人员常常采用一些现成的单元电路进行组合。这些单元电路在实践中已为人们熟知,其中一些甚至已经是最优化设计,其表现形式是有限的、甚至是唯一的,要追求电路的最佳功能状态只能选择这些已经成型的单元电路,由现有的单元电路模块组合成的集成电路若作为组合发明去申请专利,则大多数难以达到专利法要求的取得意想不到的效果的条件。⑦

(二) 布图设计不同于作品

集成电路的布图设计图纸,可以依照著作权法作为产品设计图纸作品而受到保护。布图设计本身,却不同于作品。布图设计虽然有着与作品类似的创作性和可复制性的特点,但布图设计也有着不同于作品的特点。

布图设计与作品的区别主要有以下几点:

1. 布图设计的表现形式极为有限,而作品的表现形式则是丰富多采的。集成电路由一系列电子元件及连结这些元件的导线所组成,是执行一定电子功能的电路。基于其使用目的,其元件的布局、图形的大小,都由集成电路产品的电参数和生产工艺技术水平决定,因此布图设计的表现形式极为有限。若突破这些限制,由设计师任意发挥,则创作出的布图设计就没有工业实用性。这就不同于著作权法中的一般作品。一般作品是由语言、文字、图形或符号构成的,表现一定的思想。同一思想可以有多种表现形式,著作权法保护的就是思想的表现形式。因此,将有限表达形式的布图设计作为作品看待,显然是不妥的。⑧

2. 布图设计也不适宜直接用著作权法保护。集成电路是一种电子产品,布图设计是其产品制造中的一个环节,因而集成电路及其布图设计是一种纯功利主义的实用物,不符合著作权法关于保护对象的要求。若将布图设计归入绘画、雕塑等造型艺术类作品中,则违背了著作权法的原则。在实践中,美国有人就曾提议修改版权法将布图设计列入绘画和雕塑作品而被拒绝。⑨再者,布图设计不需要作品那样长的保护期。如果将布图设计作为作品来保护,则会因著作权法保护的期限过长而不利于集成电路产业的发展。而且,由于集成电路产品更新换代很快,过长的著作权保护期对之也不必要。另外,若将布图设计列入作品,则在集成电路工业实践中广泛利用的反向工程,就会因其是对作品的复制而被认定为侵权,这不利于集成电路工业的发展。

3. 布图设计不仅要具有创造性(原创性) ,还必须具有先进性和实用性,才能得到法律的保护。依照著作权法,有原创性的作品均受保护,哪怕这种创造性的分量十分微小。著作权法并不要求作品必须有先进性和新颖性。⑩而作为实用产品的集成电路及其布图设计,无先进性就无受保护的必要。

(三) 布图设计不同于技术秘密

含有布图设计的集成电路虽然是一种科技产品,有一定的布图设计技术,但该产品一旦出售,其布图设计就公开了,无法再作为技术秘密予以保护。因为无论采用何种封装技术,持有该集成电路产品的人都可用适当的方法了解和复制其内部的布图设计。

总之,布图设计因其自身具有的独特性,而成为一个独立的知识产权客体。

注释:

[①]《鼓励软件产业和集成电路产业发展的若干政策》第50 条。

[②]参见世界知识产权组织《集成电路知识产权保护条约》第2 条。

[③]参见埃弗雷德·M·罗杰斯、朱迪思、K·拉森:《硅谷热》,范国鹰等译,经济科学出版社1985 年版,第13~27 页。

[④]参见邝心湖:《集成电路技术现状与展望》,《电子知识产权》1993年第期;Christie ,Andrew , Integrated Circuits andTheir Contents : International Protection ,London :Sweet and Maxwell ,1996 ,p. 3.

[⑤]参见美国1984 年的《半导体芯片产品保护法》(“Protection of Semiconductor Chip products Act”) 、日本1985 年的《半导体集成电路的线路布局法》。

[⑥][⑨]See Christie ,Andrew , Integrated Circuits and Their Contents : International Protection ,London : Sweet and Maxwell ,1996 ,p. 5 ,p. 3.

[⑦]参见郭禾:《试论我国集成电路的法律保护》, 《计算机与微电子发展研究》1992 年第3 期。

[⑧]参见刘春茂等:《中国民法学·知识产权》,中国人民公安大学出版社1997 年版,第27~28 页;郭禾:《试论我国集成电路的法律保护》, 《计算机与微电子发展研究》1992 年第3 期。

[⑩]参见德利娅·利普西克:《著作权与邻接权》,联合国教科文组织译,中国对外翻译出版公司2000 年版,第43~44 页。

[⑦][⑨]参见方美琪主编:《电子商务概论》,清华大学出版社1999 年版,第289 页,第294~295 页。

[⑧]参见陈建民:《网络服务者在什么情况下承担侵权责任》, 《电子知识产权》2000 年第5 期。

[10][13][14][27][28]参见薛虹:《网络时代的知识产权法》,法律出版社2000 年版,第270 页,第209~210 页,第270 页,第273页,第272 页。

[11]See White paper , pp. 114~124.

[15]See DMCA , art . 512.

[16]See DMCA , art . 512 (i) .

[17]See DMCA , art . 512 (a) .

[18]See DMCA , art . 512 (b) .

[19]See DMCA , art . 512 (c) .

[20]See DMCA , art . 512 (d) .

[21]See DMCA , art . 512 (e) .

[22]See EC/ 2000 Directive on E - Commerce , art . 12~15.

[23]See EC/ 2000 Directive on E - Commerce , art . 12.

[24]See EC/ 2000 Directive on E - Commerce , art . 13.

[25]See EC/ 2000 Directive on E - Commerce , art . 14.

[26]See EC/ 2000 Directive on E - Commerce , art . 15.

[29][2000]C. T. L. R. ISSUE2NENS SECTION :NATIONAL REPORTS N - 8.

[30]See Singapore E - Transaction Act (1998) ,sec. 3.

集成电路布图设计篇5

1、保护对象

保护对象为集成电路布图设计。受保护的布图设计必须具备原创性。条约中所规定的原创性不同于著作权法中的原创性,条约就此作了专门解释。具有原创性的布图设计,即"该布图设计是创作者自己的智力劳动成果,并且在其创作时在布图设计的创作者和集成电路制造者中不是常规设计"。

2、布图设计权利人的有关权利

(1)复制权

复制受保护的布图设计的全部或其任何部分,无论是否将其结合到集成电路中。

(2)进口、销售或者以其它方式供销

为商业目的进口、销售或者以其它方式供销受保护的布图设计或者其中含有受保护的布图设计的集成电路。wwW.133229.COm

3、布图设计权利人的有关权利的限制

(1)合理使用

为私人目的或为了分析、评价、研究或者教学而复制受保护的布图设计,或者在此基础上创作出新的具有原创性的布图设计的行为不视为侵权,也不需要权利人许可。

(2)反向工程

第三者在评价或分析受保护的布图设计的基础上,创作符合第三条第(二)款规定的原创性条件的布图设计(拓朴图)("第二布图设计(拓朴图"))的,该第三者可以在集成电路中采用第二布图设计(拓朴图),或者对第二布图设计(拓朴图)进行第(一)款所述的行为,而不视为侵犯第一布图设计(拓朴图)权利持有人的权利。

(3)非自愿许可

《关于集成电路知识产权条约》规定,任何缔约方均可在其立法中规定其行政或者司法机关有可能在非通常的情况下,对于第三者按商业惯例经过努力而未能取得权利持有人许可并不经其许可而进行复制、进口、销售等行为,授予非独占许可(非自愿许可)。

(4)善意侵权

《条约》规定,对于采用非法复制的布图设计(拓扑图)的集成电路而进行的该款所述的任何行为,如果进行或者指示进行该行为的人在获得该集成电路时不知道或者没有合理的依据知道该集成电路包含有非法复制的布图设计(拓扑图),任何缔约方没有义务认为上述行为是非法行为。

(5)权利用尽

《条约》的权利用尽条款规定,任何缔约方可以认为,对由权利持有人或者经其同意投放市场的受保护的布图设计(拓扑图)或者采用该布图设计(拓扑图)的集成电路,未经权利持有人的许可而进行该款所述的任何行为是合法行为。

4、国民待遇原则

即任何一个缔约国在布图设计的知识产权保护方面给予与国国民待遇,也同样给予其他缔约国的国民。

5、布图设计保护期限

条约规定保护集成电路布图设计的最低期限为8年。

6、保护形式

缔约国可以通过专门法律或者通过关于著作权法、专利法,禁止不正当竞争的法律,或者通过上述法律的结合来保护集成电路布图设计。

7、争议的解决

通过协商或者其他方式使有争议的缔约国之间达成和解,若不能和解,则由缔约国大会召集专家小组,由该小组起草解决争议的参考性报告,大会基于小组报告和对条约的解释,向争议各方提出建议。

8、保留

条约第13条规定:对本条约不得做任何保留。

二、trips有关集成电路布图设计的规定

与条约相比,trips对集成电路布图设计的保护更加严格,主要表现在以下几个方面:

1、保护范围扩大

缔约方应将未经权利人同意而进行的下述行为认作是非法行为 ,即为了商业目的而进口、出售、或销售受到保护的布图设计,一种采用了受到保护的布图设计的集成电路,或者一种采用了上述集成电路的产品,只要它仍然包括一个非法复制的布图设计。

2、善意侵权要付费

善意侵权人接到足够清楚的通知,被告知该布图设计是非法复制的之后,侵权人对于在此之前已经获得的库存件或预定件可以进行上述行为中的任何一种,但是却有义务向权利所有者支付一定的费用。

3、保护期限延长

布图设计的保护期限不得短于自注册申请日起或者自在世界上任何地方进行的首次商业性使用之日起的10年。

如果缔约方不要求以注册作为提供保护的条件,对布图设计的保护期限不得短于自在世界上任何地方进行的首次商业性使用之日起的10年。

三、集成电路布图设计不能用专利法、著作权法保护的原因

1、集成电路布图设计不能用专利法保护的原因

无论在哪个国家,其专利法都要求受保护的技术方案必须具备实用性、新颖性和创造性。集成电路产品对于实用性和新颖性要求都不会有太大问题,问题的症结在于创造性。

(1)集成电路的制造者和使用者,在通常情况下最为关心的是集成电路的集成度或者集成规模的大小,如果就这种产品作为一个整体去申请专利,未必都能通过创造性审查。

(2)在集成电路设计中常常采用一些现成的单元电路进行组合。而在专利审查中,组合发明要通过创造性审查,必须取得对该发明创造所属技术领域的普通技术人员来说是预先难以想到的效果。

确实具备创造性的集成电路产品仍可申请专利以寻求保护。

2、集成电路布图设计不能用著作权法保护的原因

用著作权法保护集成的电路布图设计的难度有:

(1)集成电路布图设计的价值主要体现在实用功能上,这已超出著作权法所保护的范围。

(2)著作权法对所保护的对象没有新颖性和创造性要求,这种保护模式不利于技术进步和创新。

(3)依照著作权法,实施"反向工程"的行为将被禁止。未经著作权人同意,任何人不得随意复制他人作品。

3、集成电路布图设计不能用其它知识产权法保护的原因

在现有的知识产权法框架中,还有实用新型法、外观设计法、商标法、反不正当竞争法、商号或企业名称保护法、原产地名称保护法等,在现有的诸多知识产权法律门类中,实用新型法虽然是保护技术产品的法律,但是绝大多数国家和地区(法国、澳大利亚等国除外)的法律都要求受保护的实用新型都必须是具备固定形状或者结构的产品;有的还要求实用新型也必须具备创造性。而集成电路产品的创新点往往并不体现在产品的外在结构和形状上,故从总体上看实用新型法似乎并不适合集成电路的保护。

集成电路布图设计篇6

该案系上海市首例集成电路布图设计纠纷案,经由该案所确立的集成电路布图设计专有权侵权案件认定标准,将对今后人民法院审理类似案件给予指引和参考。同时,也将对我国集成电路产业的健康发展产生深远影响。

法律意义解读

1.该案合理划分了集成电路布图设计独创性的举证责任。

根据我国2001年颁布实施的《集成电路布图设计保护条例》第4条,受保护的布图设计应当具有独创性,这也是各国立法和TRIPS协定等有关国际条约对集成电路布图设计专有权客体的要求。据此,判定集成电路布图设计专有权侵权是否成立,关键就在于对涉案布图设计独创性的判断,这是判定侵权是否成立的前提。

法院认定权利人应对其拥有的布图设计具备独创性主张承担举证责任,其获得的《集成电路布图设计登记证书》可视为所创作的布图设计具备独创性的初步证据,由其穷尽相关常规布图设计来证明本公司的布图设计属于非常规设计,不合理也不可能。被指控侵权的案件当事人应对其辩解主张举证,即对权利人的布图设计不具备独创性特征或属于常规设计承担证明责任,否则应承担举证不能的后果。

这一举证责任分配具有充分的法理基础,也更有利于鼓励权利人积极运用法律手段维护其合法权益。

2.该案树立了集成电路布图设计专有权侵权判定的严格标准。

我国《集成电路布图设计保护条例》第30条对复制集成电路布图设计专有权的侵权行为及其法律责任作出了规定,即未经布图设计权利人许可复制受保护的布图设计的全部或者其中任何具有独创性的部分的,行为人必须立即停止侵权行为,并承担赔偿责任。但在案件审理实践中,究竟如何认定集成电路布图设计侵权行为一直是个难点,而认定标准不统一,易于产生同案不同判的结果,导致法律适用上的不统一。

该案中,在对比分析两个集成电路布图设计是否相同或实质性相似时,法院明确采取了严格标准。将在集成电路布图设计中的具有独创性的部分,与该部分在整个布图设计中所占比例以及所发挥的作用大小进行了细致区分,认为即便被非法复制的部分在整个布图设计中所占比例很小,或者属于非核心部分,只要该部分具有独创性,即应认定侵权成立。

这种认识充分考虑到了布图设计不同于著作权意义上的文字艺术作品的实质,即集成电路布图设计由多个电子元件及连线组成,实现某种电子功能,不表现思想。布图设计的表现形式受诸多因素的影响和限制,创新空间有限,故而具有独创性的部分虽然不属于核心部分或占比很小,但对于实现整体功能也是不可或缺的。集成电路布图设计专有权侵权判定标准的树立,以及本案被最高人民法院确认为集成电路布图设计典型案例或指导性案例,将为法院处理类似案件提供借鉴和参考,有助于审判人员准确适用法律,维护法律适用的统一性。

对产业发展的影响与启示

1.促进行业自律,有利于优化我国集成电路产业发展的知识产权环境。

发达的集成电路产业离不开有力的知识产权制度保障。与美国集成电路产业雄踞全球相应的是,它在全世界最早(1983年)建立起严格的成熟的知识产权保护制度。日本同样如此。这一案例经由最高人民法院认可为指导性案例,它所确立的严格标准,将对集成电路企业产生警示作用。要求相关企业加强自律,进一步规范布图设计创作活动,尊重知识产权,提高保护知识产权的意识和能力,从而从整体上改善和优化产业发展的知识产权环境。

2.形成倒逼机制,有利于推动我国集成电路产业增强自主创新能力。

《中国制造2025》在着力推动突破发展的十大重点领域中将集成电路及专用装备放在第一位,凸显了在新一轮产业和科技变革竞争日趋激烈的今天,集成电路产业所具有的前所未有的战略地位,也反映了我国加快发展集成电路产业的迫切性。就国际产业竞争力而言,与发达国家相比我国集成电路产业明显处于劣势,目前约80%的芯片仍不得不依赖进口,2014年芯片进口使用外汇超过2100亿美元,成为单一产品进口最大的用汇领域,而高端芯片即使高价也买不到,如近期美国商务部即以涉及国家安全为由决定禁止向我国出售超算芯片。

按照本案确立的标准来认定侵权,对于初步发展阶段的我国集成电路产业而言几近“苛刻”,但这恰恰反映了我国加快实施创新驱动发展战略所提出的“实行严格的知识产权保护制度”的要求 。而且,也只有采取这种严格的标准,才能倒逼我国集成电路产业将发展的基点建立在增强自主创新能力、掌握关键核心技术知识产权之上。

集成电路布图设计篇7

1.数字版图设计。数字集成电路版图设计是由自动布局布线工具结合版图验证工具实现的。自动布局布线工具加载准备好的由verilog程序经过DC综合后的网表文件与Foundry提供的数字逻辑标准单元版图库文件和I/O的库文件,它包括物理库、时序库、时序约束文件。在数字版图设计时,一是熟练使用自动布局布线工具如Encounter、Astro等,鉴于很少有学校开设这门课程,可以推荐学生自学或是参加专业培训。二是数字逻辑标准单元版图库的设计,可以由Foundry厂提供,也可由公司自定制标准单元版图库,因此对于初学者而言设计好标准单元版图使其符合行业规范至关重要。2.模拟版图设计。在模拟集成电路设计中,无论是CMOS还是双极型电路,主要目标并不是芯片的尺寸,而是优化电路的性能,匹配精度、速度和各种功能方面的问题。作为版图设计者,更关心的是电路的性能,了解电压和电流以及它们之间的相互关系,应当知道为什么差分对需要匹配,应当知道有关信号流、降低寄生参数、电流密度、器件方位、布线等需要考虑的问题。模拟版图是在注重电路性能的基础上去优化尺寸的,面积在某种程度上说仍然是一个问题,但不再是压倒一切的问题。在模拟电路版图设计中,性能比尺寸更重要。另外,模拟集成电路版图设计师作为前端电路设计师的助手,经常需要与前端工程师交流,看是否需要版图匹配、布线是否合理、导线是否有大电流流过等,这就要求版图设计师不仅懂工艺而且能看懂模拟电路。3.逆向版图设计。集成电路逆向设计其实就是芯片反向设计。它是通过对芯片内部电路的提取与分析、整理,实现对芯片技术原理、设计思路、工艺制造、结构机制等方面的深入洞悉。因此,对工艺了解的要求更高。反向设计流程包括电路提取、电路整理、分析仿真验证、电路调整、版图提取整理、版图绘制验证及后仿真等。设计公司对反向版图设计的要求较高,版图设计工作还涵盖了电路提取与整理,这就要求版图设计师不仅要深入了解工艺流程;而且还要熟悉模拟电路和数字标准单元电路工作原理。

集成电路布图设计篇8

and Integrated Circuits Intellectual Property Protection

FAN Bing, XIE Xue-jun

(CSIP Intellectual Property Expertise Center of Judicature, Beijing 100038,China)

Abstract: This article introduces the status of the integrated circuit-related intellectual property protection in China and the integrated circuit intellectual property protection system. Further information on the role of judicial forensic in IC related intellectual property disputes , and the current forensic principles and methods. Finally, methods for protection of intellectual property rights in China's IC industry were discussed.

Keywords: Judicial Forensic;integrated circuit; intellectual property

1引言――集成电路相关

知识产权纠纷的现状

近年来中国电子信息产业的蓬勃发展,带动了集成电路产业的高速发展。然而,与产业发展现状形成鲜明对比的是,代表着产业自主创新能力的产业自主知识产权状况却不容乐观。国外大公司战略布局了大量专利,在高新技术领域对中国进行打压,针对中国集成电路企业的知识产权纠纷频频发生。据不完全统计,2002年以来已有10多家中国集成电路企业被卷入国际知识产权纠纷,诉讼案件近20起。这些知识产权纠纷往往针对国内集成电路某些领域的领先企业进行,并且目的明确,均经过周密筹划。无论诉讼的结果如何,无疑都对被诉企业的发展产生极为不利的影响。同时国内集成电路企业之间的知识产权纠纷也不断涌现。知识产权竞争已经成为集成电路企业间最高层次的竞争,成为技术、资金、市场、关税之后,集成电路企业间展开竞争的主要手段。

2集成电路知识产权保护制度介绍

世界各国对于集成电路知识产权的保护主要体现为对集成电路布图设计的保护,通常的保护模式可分为三种:专利法保护、版权法保护以及专门立法保护。

部分国家将集成电路的布图设计作为一种可专利的技术方案,通过授予其专利权的方法来进行保护。也有部分国家将集成电路的布图设计作为一种图形作品纳入版权法中作品的范围,通过版权法给予保护。例如,美国1984年制定的《半导体芯片保护法案》,该法案明确采用类似版权的保护方式对集成电路进行保护,并将这一理念延伸到美国版权法中,将其保护客体界定为“掩模作品”,而不是简单地视为“掩模”。在美国,集成电路作为一种单独的作品种类已经被纳入了广义版权法的保护范围[3]。但在实践中,通过专利制度或者版权制度保护集成电路布图设计都存在一定的不足,通过专门立法加以保护成为目前世界各国立法,包括国际条约的普遍选择。

自美国之后,至今共有50多个发达国家和地区制定了保护集成电路布图设计的国内法。

在集成电路知识产权保护领域,最重要的国际公约是1989年世界知识产权组织(WIPO)在华盛顿缔结的《关于集成电路的知识产权条约》(即“华盛顿条约”)以及1994年关贸总协定缔结的知识产权协议(即"TRIPS协议")。前者是集成电路知识产权领域中第一个国际公约。我国也是七个签字国之一,但该条目至今尚未生效。后者对集成电路布图设计知识产权提出了更高水平的保护,并援引了前者的一些实体规定,使集成电路布图设计保护国际进程大大加快[4]。

在我国,集成电路布图设计的立法起步较晚。2001年3月28日,国务院常务会议审议通过了《集成电路布图设计保护条例》(以下简称《条例》),共六章三十六条,自2001年10月1日起施行,以行政法规单独立法的形式确认了对集成电路布图设计专有权的保护。

2001年9月18日,国家知识产权局了《集成电路布图设计保护条例实施细则》,从程序和手续上保证《条例》规定的基本权利义务实现,共分六章四十三条,也自2001年10月1日起施行。

此外,国家知识产权局还于2001年11月28日了《集成电路布图设计行政执法办法》,就国家知识产权行政机关处理侵犯布图设计专有权的纠纷、调解侵犯布图设计专有权的具体程序、办法作了更进一步的阐释。

最高人民法院还从司法审判实践的角度,于2001年10月30日《最高人民法院关于开展涉及集成电路布图设计案件审判工作的通知》,就案件的归类、管辖、诉前责令停止有关行为、中止诉讼等实践操作进行了明确。

目前,我国在集成电路布图设计方面的条例和法规还缺乏系统性,在法律效力和立法层次上均处于较低水平,无法为集成电路行业发展提供有效的法律和制度保障。因此,有人大代表在两会期间提议有必要尽快制订《集成电路布图设计保护法》,为集成电路产业自主创新提供强有力的法律保障。

3司法鉴定在相关纠纷中的作用

所谓知识产权侵权行为判定的规则是指法官在知识产权侵权案件审理过程中应该共同遵守的规律性的步骤,在知识产权侵权行为判定的过程中,一般应遵循以下规则[5]:

(1)有效性审查规则,即主动对权利有效性进行审查;

(2)权利范围确定的规则,即以权利的客体为中心确定保护范围;

(3)关联性原则,即由原告确定被控侵权物或者行为以及证明与被告的关联性;

(4)比对的规则,即把原告权利范围中的构成要素与被控侵权物或行为相比较,做出是否相同或者相似的判断。

对于涉及集成电路知识产权的纠纷案件,一般主要涉及到专利、商业秘密和集成电路布图设计等。鉴于集成电路案件涉及的技术含量较高,且技术更新换代很快,涉及被控侵权物或者行为与原告的权利进行技术方面对比时,法官通常要借助于专家或者专业的鉴定机构。主要有三种方式:其一,邀请专家以人民陪审员的身份,作为合议庭成员参与案件的审理,对案件中涉及的技术问题作出判断,涉及到法律问题则由法官进行判断,许多法院已经进行了这方面的尝试,取得了较好的效果;其二,根据案件所涉及的专业技术,通过该技术的专业协会,组织行业知名的专家组成专家组,法院委托该专家组对涉案技术进行判断,形成专家组的意见,这就是证据法中所称的专家证言;其三,法院委托专业的鉴定机构,对涉案技术进行鉴定。应注意的是,法院无论是委托专家还是委托鉴定机构,仅对技术问题作出鉴定结论,是否构成侵权应由法官作出判断[5]。

笔者走访过一些知识产权厅的法官,在审理集成电路相关的案件时,对一些关键的技术问题的判断一定程度上需要依据专业机构出具的鉴定报告。一般集成电路相关案件主要涉及到专利权、商业秘密和集成电路布图设计等方面。下面笔者以集成电路布图设计侵权的技术鉴定为例来介绍。

4司法鉴定方法的讨论

鉴定需要完成两个目标,即对集成电路布图设计进行的原创性判断和相似性分析。

对于原创性鉴定,原创性的体现可以通过下列几个方面来综合判断[1]:

(1)功能性(function)――指该集成电路布图设计具有什么样的特定的电气功能和逻辑功能。这里的“功能”不仅仅停留在集成电路布图设计登记时所要求的如“逻辑”、“存储”、“线性”、“微机”、“其他”等这样笼统的分类描述,而是从这个大类别下具体、详细地,从名称到能完成什么样的任务去判断。

(2)选择性(selection)――该芯片的材料性质、集成规模的大小、结构类别、技术类别、基本技术指标,该布图设计选择什么样(类型)的元件以及元件的数量、集成度等。

(3)布局性(distribution)――如元件是如何分配在基片各层上,分配在该层的什么位置上。

(4)互连性(Interconnection)――这些分配在基片之上或之中的元件之间具有什么样的连接关系,全部或者是哪些局部作了连线。

(5)组合性(combination)――由元件的互连而构成什么样的特定组合,以完成其选定的功能。

对这些方面如果作出独立的构思与设计,其结果应当是与当时的常规性设计有所区别的,一般足以达到被公认为非常规性的水平。

对相似性鉴定,鉴定人亦仅对双方是否存在实质相似性作出判断。司法鉴定文书,“不得有案件定性和确定当事人法律责任的内容”。

集成电路所集成的元器件极多,逐项比较难以实现。通过文献[1]所提出的以下几个步骤结合起来,可以对集成电路布图设计的相似性作出较准确的判断:

(1)类别比较:先按我国集成电路布图设计登记所要求的布图设计分类,从结构(5种)、 技术(8种)、功能(5种)作最顶层的比较。

(2)全局位置对比:可同时分析布图设计的布局性、选择性和组合性。首先比较芯片的大小和形状,这是设计者首先要选择的。布图的布局是设计者设定的,体现一定程度的组合性和配置意图,独立设计者之间不会生成完全相同的结果。

(3)采样的局部比较:除了整体器件对比之外,对于元件级的对比,可以用随机采样的手段,提取一部分双方对应的设计结果,包括有源元件、电阻、电容的布置等、进行比较。

(4)采样比较元件之间的连线关系:互连性是独创性的重要体现。互连线在整个布图设计中往往有“牵一发动全身”的关系,鉴定人可通过元件间的互连关系判断其相似性。

(5)元、器件间的逻辑关系比较:组合和连线,其结果体现在电路的逻辑关系上,这种关系可以通过输入/输出的信号状态来间接表示。在测试平台中用测试模式测定该芯片的技术性能,通过信号波形、时间周期等关系的对比,可以进一步判定双方的相似程度。

(6)具体的技术参数比较:集成电路的许多参数,如它能实现的功能、指标、元件数量、元件参数值、工作电流、休眠电流、工作电压、工作频率等等,经过测定,通过这方面的相似性可以推断电路模式及元、器件参数值的相似程度。

(5)(6)这两步,是利用芯片的专用测试平台上测试的。若两个芯片,都适用于同一专用测试平台,可称之为这两个芯片对这个平台具有“互用性”,这种情形下,这两者或者是同一种芯片,或者是具有实质相似性的芯片。

(7)指令集比较:如果集成电路可执行某类指令集,则指令集的相同与否,可以判定芯片的整体上逻辑结构是否相同。而整体逻辑结构则是芯片技术的核心部分,是其独创性的重要体现。

以上测试,可以全都进行,也可以进行其中一部分,以能够充分准确地说明相似性的程度为准。

5从司法鉴定角度

对集成电路企业的建议

集成电路企业属于高新技术企业,根据所属行业的特点,对知识产权的保护关系到一个集成电路企业的生死存亡,除了对其核心技术进行专利申请保护和对芯片进行集成电路布图设计保护登记之外,用不断完善的知识产权管理来维护其核心竞争力。加强对非公开技术秘密的保护,可通过将商业秘密在有资质的鉴定机构进行技术秘密备案,起到一定的保护效果。只有这样,一旦相关纠纷案件发生时才能够占领先机,维护企业自身的利益。

参考文献

[1] 王桂海,罗苏平. 集成电路知识产权保护及司法鉴定探讨[J]. 中国司法鉴定, 2007(1).

[2] 曹伟. 集成电路知识产权保护评析[J]. 现代法学. 2007(3).

[3] 郑胜利. 集成电路布图设计保护法比较研究[J]. 中外法学, 2002 (2) : 185 .

[4] 浅谈集成电路布图设计的知识产权保护制度,

[5] 曹波. 论知识产权侵权行为的判定. 山东审判. 2005 21(1).

集成电路布图设计篇9

1当前集成电路设计方法

1.1全定制设计方法

集成电路,即通过光刻、扩散、氧化等作业方法,将半导体、电阻、电容、电感等元器件集中于一块小硅片,置入管壳内,应用于网络通信、计算机、电子技术等领域中。而在集成电路设计过程中,为了营造良好的电路设计空间,应注重强调对全定制设计方法的应用,即在集成电路实践设计环节开展过程中通过版图编辑工具,对半导体元器件图形、尺寸、连线、位置等各个设计环节进行把控,最终通过版图布局、布线等,达到元器件组合、优化目的。同时,在元器件电路参数优化过程中,为了满足小型化集成电路应用需求,应遵从“自由格式”版图设计原则,且以紧凑的设计方法,对每个元器件所连导线进行布局,就此将芯片尺寸控制到最小状态下。例如,随机逻辑网络在设计过程中,为了提高网络运行速度,即采取全定制集成电路设计方法,满足了网络平台运行需求。但由于全定制设计方法在实施过程中,设计周期较长,为此,应注重对其的合理化应用。

1.2半定制设计方法

半定制设计方法在应用过程中需借助原有的单元电路,同时注重在集成电路优化过程中,从单元库内选取适宜的电压或压焊块,以自动化方式对集成电路进行布局、布线,且获取掩膜版图。例如,专用集成电路ASIC在设计过程中为了减少成本投入量,即采用了半定制设计方法,同时注重在半定制设计方式应用过程中融入门阵列设计理念,即将若干个器件进行排序,且排列为门阵列形式,继而通过导线连接形式形成统一的电路单元,并保障各单元间的一致性。而在半定制集成电路设计过程中,亦可采取标准单元设计方式,即要求相关技术人员在集成电路设计过程中应运用版图编辑工具对集成电路进行操控,同时结合电路单元版图,连接、布局集成电路运作环境,达到布通率100%的集成电路设计状态。从以上的分析中即可看出,在小型化集成电路设计过程中,强调对半定制设计方法的应用,有助于缩短设计周期,为此,应提高对其的重视程度。

1.3基于IP的设计方法

基于0.35μmCMOS工艺的推动下,传统的集成电路设计方式已经无法满足计算机、网络通讯等领域集成电路应用需求,因而在此基础上,为了推动各领域产业的进一步发展,应注重融入IP设计方法,即在集成电路设计过程中将“设计复用与软硬件协同”作为导向,开发单一模块,并集成、复用IP,就此将集成电路工作量控制到原有1/10,而工作效益提升10倍。但基于IP视角下,在集成电路设计过程中,要求相关工作人员应注重通过专业IP公司、Foundry积累、EDA厂商等路径获取IP核,且基于IP核支撑资源获取的基础上,完善检索系统、开发库管理系统、IP核库等,最终对1700多个IP核资源进行系统化整理,并通过VSIA标准评估方式,对IP核集成电路运行环境的安全性、动态性进行质量检测、评估,规避集成电路故障问题的凸显,且达到最佳的集成电路设计状态。另外,在IP集成电路设计过程中,亦应注重增设HDL代码等检测功能,从而满足集成电路设计要求,达到最佳的设计状态,且更好的应用于计算机、网络通讯等领域中。

2集成电路设计中IP设计技术分析

基于IP的设计技术,主要分为软核、硬核、固核三种设计方式,同时在IP系统规划过程中,需完善32位处理器,同时融入微处理器、DSP等,继而应用于Internet、USB接口、微处理器核、UART等运作环境下。而IP设计技术在应用过程中对测试平台支撑条件提出了更高的要求,因而在IP设计环节开展过程中,应注重选用适宜的接口,寄存I/O,且以独立性IP模块设计方式,对芯片布局布线进行操控,简化集成电路整体设计过程。此外,在IP设计技术应用过程中,必须突出全面性特点,即从特性概述、框图、工作描述、版图信息、软模型/HDL模型等角度入手,推进IP文件化,最终实现对集成电路设计信息的全方位反馈。另外,就当前的现状来看,IP设计技术涵盖了ASIC测试、系统仿真、ASIC模拟、IP继承等设计环节,且制定了IP战略,因而有助于减少IP集成电路开发风险,为此,在当前集成电路设计工作开展过程中应融入IP设计技术,并建构AMBA总线等,打造良好的集成电路运行环境,强化整体电路集成度,达到最佳的电路布局、规划状态。

3结论

综上可知,集成电路被广泛应用于计算机等产业发展领域,推进了社会的进步。为此,为了降低集成电路设计风险,减少开发经费,缩短开发时间,要求相关技术人员在集成电路设计工作开展过程中应注重强调对基于IP的设计方法、半定制设计方法、全定制设计方法等的应用,同时注重引入IP设计技术理念,完善ASIC模拟、系统测试等集成电路设计功能,最终就此规避电路开发中故障问题的凸显,达到最佳的集成电路开发、设计状态。

参考文献

[1]肖春花.集成电路设计方法及IP重用设计技术研究[J].电子技术与软件工程,2014,12(06):190-191.

集成电路布图设计篇10

1 引言

随着半导体工艺的迅速发展,目前绝大部分芯片已经采用32nm及以下工艺进行设计。因此集成电路的集成度也越来越高,集成电路已经进入超大规模集成电路(Very Large Scale Integrated circuits)时代。 超大规模集成电路20世纪70年代后期出现,其主要用于制造存储器和微处理机。超大规模集成电路及其相关技术是现代电子信息技术迅猛发展的关键因素和核心技术。超大规模集成电路的研究水平已经成为衡量一个国家技术和工业发展水平高低的重要标志,也是世界工业国家竞争最激烈的一个领域。在VLSI中其集成度一直遵循着“摩尔定律”,即以每18个月翻一番的速度急剧增加,目前一个芯片上集成的电路元件数早已远超数亿个。如此迅速的发展,除了半导体工艺技术、设备、原材料等方面的不断改进之外,设计技术的革新也是重要原因之一。这一革新技术主要表现在全面采用了电子设计自动化(Electronic Design Automation, EDA)技术。因为集成电路发展到现在已经十分复杂,要在几十平方毫米上硅片上完成线条只有零点几微米的数以亿计门器件的整个电子系统设计,依靠手工设计是完全不可能的,必须借助电子设计自动化技术和工具集成电路的发展对EDA技术不断提出新的要求,以满足日益提高的设计需求;相应地,EDA技术的发展又使得集成电路设计向着更广(产品种类越来越多)、更快(设计周期越来越短)、更准(一次成功率越来越高)、更精(设计尺寸越来越小)、更强(工艺适应性和设计自动化程度越来越强)的方向发展一个典型的集成电路设计流程,几乎在其中的每个设计环节和整个设计过程都普遍用到CAD技术和工具。其中,版图规划是一个极其重要的设计环节,也是最费时的,并且版图的优劣决定了最终芯片的性能。该阶段的设计任务是根据逻辑和电路功能要求以及工艺制造的约束条件(如线宽、线宽距等),完成电路中单元的摆放和互连,最终形成设计的掩膜图。在版图规划中布图设置是很重要的一环。布图规划算法完成的任务是在满足各项电学和工艺要求的条件下,在给定区域内(或尽可能小的区域内)互不重叠地安置电路中的所有单元,并且尽可能好地满足单元互连的要求。超大规模集成电路的布局规划作为物理设计阶段的重要组成部分近年来受到了广泛关注,其质量直接影响后续布线工作的顺利完成,乃至最终影响到电路的性能,随着布局设计过程中各种新问题的不断引入,布局规划问题较原先更加复杂,也越来越难以解决。

2 目前现状

2.1 布局算法的提出

自动化版图设计实际是在有限的区域内,寻找出一个最优的摆放结果,不仅能够把所有的单元全部放入其中,并且为后续的布局布线提供最优的结果,使最终的芯片得到最好的性能。其对应的数学问题为对合法构形空间的搜索问题。VLSI物理设计中的布局、布线等问题是高度复杂的,且其中很多问题已被证明为NP-Hard问题。NP就是Non-deterministic Polynomial的问题,也即是多项式复杂程度的非确定性问题。而如果任何一个NP问题都能通过一个多项式时间算法转换为某个NP问题,那么这个NP问题就称为NP完全问题(Non-deterministic Polynomial complete problem)。经过前人的研究,布图规划已经被证明为是NP完全问题的数学模型。所以,布图规划是一个值得深入的课题。随着VLSI向深亚微米纳米不断推进,系统规模不断扩大,系统目标的多样化,问题空间维数随之剧增。传统的优化算法要么面临计算量爆炸(如穷举法、线性规划等),要么易陷入局部极值,无法接近全局最优解(如贪心算法等)。因此对各种新的智能优化方法的研究应运而起,先后提出了遗传算法、模拟退火法[11]等算法。各种方法各有千秋,但到目前为止,还没有任何一种方法可以有效地应用于解决VLSI物理设计中的所有问题。

对于布局规划中,特别是自动布局规划(master plan),通过对比相关算法,采用模拟退火算法。使用模拟退火算法我们可以较快的得出全局最优解。在用模拟退火算法反复迭代找出最优解时,会出现一些不可避免的重叠(overlap),这个时候我们要尽可能的消除它们,同时还要考虑模块间的距离(wirelength)以及通过的总线长(timing path)。模块间中心距离是我们布局最主要的约束条件,理论上我们要使它尽可能的小。因为在一块小小的集成电路板块中可能会有千万个单元(stand cell),它们组成了各个模块(module),为此,布局开始阶段模块在起始的温度下自由排列,随着温度的下降,当找到不错的排列组合时存档,继续寻找,直到达到最优解。模拟退火算法的基本原理是:跳出局部最优,亦称爬山解((up-hill)当满足一定的条件时以收敛到全局最优。算法可以看成是随机和贪婪算法的结合。当然模拟退火有着坚实的数学基础,其对新解的接受概率是min{1,e-C/T},其中C为代价函数的差,T为当前温度。开始当温度较高时,接受坏解的概率近似等于1,无论解的质量是好是坏,一律接受,可以看成是随机搜索。当温度足够低时,接受坏解的概率近似等于0,只接受好的解,可以近似的认为是贪婪搜索。在温度变化的过程中是一个从随机到贪婪的渐变过程[12](图1)。

3 算法的改进

3.1 功能模块设计

4 运行结果与分析

对于以上改进算法的实现进行代码编写,并且在Linux操作系统开发环境下运行encounter软件,采用一组case进行实现,得到的结果如(图3、4)。

通过对实验结果的分析可以看出,改进后的算法是有效的,跟传统的布局规划相比布局线路wirelength优化了17.5%,overlap降低了12.1%,达到了实验预期的效果。

5 结语

本文主要通过对自动布局规划设计分析,提出了改进的模拟退火算法,并消除布局中不应产生的overlap。该算法中采用了自顶向下的结群策略,实验表明,该算法比较稳定,得出的结果好,适用性强。

参考文献

[1]L.Jin,D.Kim,L.Mu,D.-S.Kim,and S.-M. Hu,“A sweepline algorithm for Euclidean Voronoi diagram of circules,”IEEE put.-Aided Des.,vol.38,no.3,pp. 260-272,Mar.2006.

[2]Y.Feng,D.P.Mehta,and H.Yang,“Constrained modern floorplanning,”in Proc.ISPD,2003,pp.128-135.

[3]J.-M.Lin and Y.-W.Chang,“TCG:A transitive closure graph base representation for general floorplans,”IEEE Trans.Very Large Scale Integr.,vol. 13, no. 4, pp. 288–292,Apr.2005.

[4]X.Hong,G. Huang,Y.Cai, J. Gu,S. Dong, C.-K. Cheng,and J. Gu,“Corner block list: An effective and efficient topological representation of non-slicing floorplan,” in Proc.ICCAD,2000,pp.8-12.

[5]S.Nakatake, M. Furuya, and Y. Kajitani, “Module placement on BSGstructure with pre-placed modules and rectilinear modules,” in Proc.ASP-DAC, 1998, pp. 571–576.

[6] Richard Auletta,Expert System Perimeter Block Placement Floorplanning,” date, p. 30140, Design,Automation and Test in Europe Conference and Exhibition Designers Forum (DATE’04),2004.

[7]Y.Zhan,Y. Feng, and S.Sapatnekar,“A fixed-die floorplanning algorithm using an analytical approach,”in Proc.ASP-DAC,2006, pp.771-776.

[8]Alupoaei,S.; Katkoori,S.Ant colony system application to macrocell overlap removal,Very Large Scale Integration (VLSI) Systems, IEEE Transactions,Vol.12, Iss.10,pp.1118- 1123,Oct.2004.

[9]S.N.Adya,I.L. Markov, Fixed-outline Floorplanning: Enabling Hierarchical Design, to appear in IEEE Trans.On VLSI,2003.

[10]W.Choi and K.Bazargan Hierarchical Global Floorplacement Using Simulated Annealing and Network Flow Area Migration,DATE 2003.

[11]杨依忠,解光军.基于遗传模拟退火算法的门阵列布局方法.计算机工程,2010,1.

[12]蒋中华.超大规模集成电路布图布局算法及热模型研究.2008.3.21.

集成电路布图设计篇11

中图分类号:G642.0?????文献标识码:A?????文章编号:1007-0079(2012)31-0050-02

“集成电路版图设计”是一门讲授集成电路版图版图工作原理、设计方法和计算机实现的课程,是电子科学与技术专业及相关电类专业课程体系中一门重要的专业课。[1]该课程一般以“模拟电子技术基础”、“数字电子技术基础”和“半导体器件”为先修课程,主要讲授集成电路双极工艺和CMOS工艺的基本流程、版图基本单元的工作原理和结构特点,以及布局布线的设计方法。[2]其目的是指导学生掌握集成电路版图分析与设计技术,提高学生实践能力和综合解决问题的能力。由于集成电路芯片外层有封装,学生在学习该课程前对版图无直观认识,很多版图设计教材是先讲授工艺流程,然后讲授单元版图,最后论述布局布线等内容,这样教学有悖于从感性到理性的认知过程,有碍教学效果。[3]有的教材在版图解析方面做了有益尝试,但由于当时技术条件限制,采用绘制图代替芯片解析照片,实践性欠佳。为了在有限的学时中能够尽快引导学生入门,在版图解析与设计两个方面的能力都有所提高,笔者将芯片CD4002B解析并应用到“集成电路版图设计”课程教学实践中,效果良好。

一、版图逆向解析

集成电路的设计包括逻辑(或功能)设计、电路设计、版图设计和工艺设计。通常有两种设计途径:正向设计、逆向设计。[2]

逆向设计的作用为仿制和获得先进的集成电路设计。逆向设计的流程为:提取横向尺寸,提取纵向尺寸和测试产品的电学参数。[2]

对于本科电子科学与技术专业教学,版图的逆向设计主要是提取芯片的横向尺寸。提取芯片横向尺寸方法为:打开封装,进行拍照、拼图;由产品的复合版图提取电路图、器件尺寸和设计规则;进行电路模拟和画版图。

二、CD4002B版图解析

CD4002B是两个四输入或非门芯片,封装为双列14针塑料封装,根据芯片编号规则判断为CMOS工艺制造。该电路具有器件类型全面、电路典型的特点,适用于教学实践。

1.CD4002B芯片版图拍照

首先将芯片放到浓硝酸中加热,去掉封装,用去离子水冲洗、吹干后在显微镜下拍照铝层照片。再将芯片放到盐酸溶液中漂洗去掉铝层,用去离子水冲洗、吹干后放到氢氟酸溶液中去掉二氧化硅层,经去离子水冲洗、吹干后用染色剂染色,杂质浓度高部分颜色变深,冲洗、吹干后在显微镜下对无铝层(有源层)芯片拍照。

采用图形编辑软件分别对两层照片进行拼接,获得版图照片。

2.芯片版图分析

通过对CD4002B两层(铝层和有源层)照片进行分析研究表明:解析的芯片为是一层铝,且铝栅极,P阱工艺。该芯片铝线宽度最小为9微米,栅极宽度为6微米。芯片包含的单元为NMOS、PMOS、反相器、四输入与非门、电阻、二极管等。

该芯片由两个四输入或非门组成,其中一个或非门电路图如图1所示,其中9、10、11、12管脚为输入端,14管脚为电源端,13管脚为输出端和7管脚为地端。四个输入端首先分别经过一个反相器,然后接入一个四输入与非门,最后经过一个反相器输出。逻辑关系经过推导和仿真验证为或非门关系。

为了实现静电保护,在输入、输出和电源端分别构造静电保护。输入端静电保护电路由四个二极管和一个限流电阻构成;输出端静电保护电路由二个二极管和一个限流电阻构成;电源端静电保护电路由一个二极管构成。

下面以芯片中四输入与非门版图和输入静电保护电路说明版图特点。

该芯片的四输入与非门版图如图2所示。N14、N15、N16、N17为NMOS管,共用一个P阱,从铝层分析四个NMOS管为串联关系。为了节省面积,相邻器件源极和漏极共用,即上一个管子源极是邻近管子漏极;P14、P15、P16、P17为PMOS管,从铝层分析四个NMOS管为并联关系,四个器件源极相连和漏极相连,提取的电路图见图1。

该芯片的输入管脚都有静电保护电路,如图3所示。其中D5-1、D5-2为两个以P阱为P区的二极管,该管N区接输入端,P区接地;R5为基区电阻;D5-3、D5-4为以基区电阻为P区,衬底为N区的二极管,其中P区接电阻,N区接电源。提取的电路图见图1。

三、课程教学改革

1.教学大纲的改革

本科生教学既要注重实践教学又要兼顾理论教学,不仅要掌握单元的版图设计和软件使用,还应该掌握版图结构原理。为此确立该课程的基本目标为:电路的分析及应用,能够读懂电路的线路图,并能进行正确分析;版图识读和常见基本器件的版图设计;布局布线与验证修改;[4]掌握版图的失效机理,并能掌握特殊器件版图的设计方法。

集成电路布图设计篇12

Key words:MicrostripFilter;Low-Pass;Distribution Parameter

1.设计指标

本文设计针对最高工作频率为6GHz的微带滤波器,微带线基片厚度为1mm,相对介电常数为=2.65,预期最终达到以下指标:

(1)微带线特性电阻为50。

(2)最高工作频率为6GHz。

(3)带内衰减为0.1dB。

(4)在频率10GHz时衰减大于30dB。

(5)工作温度:-45℃~+65℃。

2.集总参数滤波电路设计

为了保证滤波器在6GHz处也能满足指标,特选取=6.5GHz,在=10GHz时,采用切比雪夫特性的滤波器,根据带外衰减陡度的要求确定滤波器的节数n,衰减波纹为1db,查表得到低通原型滤波器归一化元件参量值:

g1=2.1349 g2=1.0911 g3=3.0009 g4=1.0911 g5=2.1349 g6=1.0000

由归一化元件参数值换算成实际滤波器的集中参数元件参量值后,得到:

由此在ADS上进行集总参数低通滤波器的仿真,仿真结果表明,在10GHz该电路虽然能达到30dB的衰减,但是在6GHz通带内的带内波纹系数太大,无法满足预设的带内0.1dB的衰减。

因此也证明随着电路工作频率的升高,不再适合于使用集总参数元件构造滤波电路。一般情况下,当工作频率高于500MHz后,就不再适用于集总参数滤波电路。所以需要使用基于分布参数电路构建的滤波电路。

3.集总参数转换为分布参数方法

1)Richards变换:即利用一定长度的终端开路或者终端短路无耗传输线构造等效的电容或者电感,从而可以实现使用分布式参数电路替换集总参数滤波电路中的相应原件。

2)Kuroda规则:即是一种利用单位元件进行电路变换的规则(如图1所示)。

得到各个阻抗的大小值,乘以阻抗50得到真实阻抗值:Z1=Z5=2.468的实际值为123.4,Z2=Z4=0.412的实际值为20.6,Z3=0.3323的实际值为16.615,ZUE1=ZUE2=1.41的实际值为70.5,ZUE3=ZUE4=1.681的实际值为84.05。

图1 微带滤波器结构图

利用Richards变换将电感用短路线代替,电容用开路线代替。利用Kuroda将串联线变为并联线段,在滤波器的输入、输出端口引入两个单位元件,设计出的电路结构图如图1所示。

4.电路仿真设计

根据此设计结果,利用ADS软件调用微带部分的仿真结果进行联合仿真,得到分布参数电路原理图,进而得到版图,如图2所示,使用的板材是聚四氟乙烯玻璃纤维板F4B,相对介电常数εr为2.65,板厚1mm,覆铜厚度17um,结构。

图2 微带低通滤波电路

通过版图仿真特性图3,其S(2,1)可看出在6GHz里,通带衰减很小,一过6GHz后,衰减开始增大,当频率到达10GHz后达到了30db的衰减,基本满足微带线低通滤波器的设计要求。

图3 版图仿真特性图

5.总结

本文对于低通滤波器的制作,突出了分布参数低通滤波电路在中高端频率的优点。介绍了集总参数到分布参数的转换方法,其研究方法可以推广到类似滤波器的开发。

参考文献

集成电路布图设计篇13

一、EDA技术的定义及构成

所谓EDA技术是在电子CAD技术基础上发展起来的计算机软件系统。它是以计算机为工作平台,以硬件描述语言为系统逻辑描述的主要表达方式,以EDA工具软件为开发环境,以大规模可编程逻辑器件PLD(Programmable Logic Device)为设计载体,以专用集成电路ASIC(Application Specific Integrated Circuit)、单片电子系统SOC(System On a Chip)芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程 [J]。在此过程中,设计者只需利用硬件描述语言HDL(Hardware Description language),在EDA工具软件中完成对系统硬件功能的描述,EDA工具便会自动完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至特定目标芯片的适配编译、逻辑映射和编程下载等工作,最终形成集成电子系统或专用集成芯片。尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。

现代EDA技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。EDA技术研究的对象是电子设计的全过程,有系统级、电路级和物理级各个层次的设计。EDA技术研究的范畴相当广泛,从ASIC开发与应用角度看,包含以下子模块:设计输入子模块、设计数据库子模块、分析验证子模块、综合仿真子模块和布局布线子模块等。EDA主要采用并行工程和“自顶向下”的设计方法,然后从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错,并用VHDL等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。

二、EDA技术的发展

EDA 技术的发展至今经历了三个阶段:电子线路的CAD是EDA发展的初级阶段,是高级EDA系统的重要组成部分。它利用计算机的图形编辑、分析和存储等能力,协助工程师设计电子系统的电路图、印制电路板和集成电路板图。它可以减少设计人员的繁琐重复劳动,但自动化程度低,需要人工干预整个设计过程。

EDA技术中级阶段已具备了设计自动化的功能。其主要特征是具备了自动布局布线和电路的计算机仿真、分析和验证功能。其作用已不仅仅是辅助设计,而且可以代替人进行某种思维。

高级EDA阶段,又称为ESDA (电子系统设计自动化)系统。过去传统的电子系统电子产品的设计方法是采用自底而上(Bottom-UP)的程式,设计者先对系统结构分块,直接进行电路级的设计。EDA技术高级阶段采用一种新的设计概念:自顶而下(TOP-Down)的设计程式和并行工程(Concurrent Engineering)的设计方法,设计者的精力主要集中在所设计电子产品的准确定义上,EDA系统去完成电子产品的系统级至物理级的设计。此阶段EDA技术的主要特征是支持高级语言对系统进行描述。可进行系统级的仿真和综合。

三、基于EDA技术的电子系统设计方法

1.电子系统电路级设计

首先确定设计方案,同时要选择能实现该方案的合适元器件,然后根据具体的元器件设计电路原理图。接着进行第一次仿真,包括数字电路的逻辑模拟、故障分析、模拟电路的交直流分析和瞬态分析。系统在进行仿真时,必须要有元件模型库的支持,计算机上模拟的输入输出波形代替了实际电路调试中的信号源和示波器。这一次仿真主要是检验设计方案在功能方面的正确性。仿真通过后,根据原理图产生的电气连接网络表进行PCB板的自动布局布线。在制作PCB板之前还可以进行后分析,包括热分析、噪声及窜扰分析、电磁兼容分析和可靠性分析等,并且可以将分析后的结果参数反标回电路图,进行第二次仿真,也称为后仿真,这一次仿真主要是检验PCB板在实际工作环境中的可行性。

可见,电路级的EDA技术使电子工程师在实际的电子系统产生之前,就可以全面了解系统的功能特性和物理特性,从而将开发过程中出现的缺陷消灭在设计阶段,不仅缩短了开发时间,也降低了开发成本。

2. 系统级设计

系统级设计是一种“概念驱动式”设计,设计人员无须通过门级原理图描述电路,而是针对设计目标进行功能描述。由于摆脱了电路细节的束缚,设计人员可以把精力集中于创造性概念构思与方案上,一旦这些概念构思以高层次描述的形式输入计算机后,EDA系统就能以规则驱动的方式自动完成整个设计。

系统级设计的步骤如下:

第一步:按照“自顶向下”的设计方法进行系统划分。

第二步:输入VHDL代码,这是系统级设计中最为普遍的输入方式。此外,还可以采用图形输入方式(框图、状态图等),这种输入方式具有直观、容易理解的优点。

第三步:将以上的设计输入编译成标准的VHDL文件。对于大型设计,还要进行代码级的功能仿真,主要是检验系统功能设计的正确性,因为对于大型设计,综合、适配要花费数小时,在综合前对源代码仿真,就可以大大减少设计重复的次数和时间,一般情况下,可略去这一仿真步骤。

第四步:利用综合器对VHDL源代码进行综合优化处理,生成门级描述的网表文件,这是将高层次描述转化为硬件电路的关键步骤。综合优化是针对ASIC芯片供应商的某一产品系列进行的,所以综合的过程要在相应的厂家综合库支持下才能完成。综合后,可利用产生的网表文件进行适配前的时序仿真,仿真过程不涉及具体器件的硬件特性,较为粗略。一般设计,这一仿真步骤也可略去。

第五步:利用适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,包括底层器件配置、逻辑分割、逻辑优化和布局布线。

第六步:将适配器产生的器件编程文件通过编程器或下载电缆载入到目标芯片FPGA或CPLD中。如果是大批量产品开发,通过更换相应的厂家综合库,可以很容易转由ASIC形式实现。

四、前景展望

21世纪将是EDA技术的高速发展时期,EDA 技术是现代电子设计技术的发展方向,并着眼于数字逻辑向模拟电路和数模混合电路的方向发展。EDA将会超越电子设计的范畴进入其他领域随着集成电路技术的高速发展,数字系统正朝着更高集成度、超小型化、高性能、高可靠性和低功耗的系统级芯片(SoC,System on Chip)方向发展,借助于硬件描述语言的国际标准VHDL和强大的EDA工具,可减少设计风险并缩短周期,随着VHDL语言使用范围的日益扩大,必将给硬件设计领域带来巨大的变革。

参考文献:

[1]谭会生,张昌凡.EDA技术及应用[M].西安:西安电子科技大学出版社,2001.

在线咨询
了解我们
获奖信息
挂牌上市
版权说明
在线咨询
杂志之家服务支持
发表咨询:400-888-7501
订阅咨询:400-888-7502
期刊咨询服务
服务流程
网站特色
常见问题
工作日 8:00-24:00
7x16小时支持
经营许可
出版物经营许可证
企业营业执照
银行开户许可证
增值电信业务经营许可证
其它
公文范文
期刊知识
期刊咨询
杂志订阅
支付方式
手机阅读